Find Jobs
Hire Freelancers

Sobel and Canny edge detection algorithms on FPGA

₹600-1500 INR

Mbyllur
Postuar about 4 years ago

₹600-1500 INR

Paguhet në dorëzim
We need a code in VHDL or Verilog for Sobel and Canny algorithms
ID e Projektit: 24038705

Rreth projektit

5 propozime
Projekt në distancë
Aktive 4 yrs ago

Po kërkoni të fitoni para?

Përfitimet e ofertës për Freelancer

Vendosni buxhetin dhe afatin tuaj
Paguhuni për punën tuaj
Përshkruani propozimin tuaj
Është falas të regjistrohesh dhe të bësh oferta për punë
5 profesionistët e pavarur ofrojnë mesatarisht ₹2 210 INR oferta për këtë punë
Avatari i Përdoruesit
Hello, I am a FPGA engineer with good experience in VHDL and Verilog programming. Actually I have done this type of image processing work on FPGAs. I can work on this very quickly and get this done. Looking forward to hearing back from you. Thank you Anusha
₹5 000 INR në 7 ditë
4,3 (4 përshtypje)
3,3
3,3
Avatari i Përdoruesit
Hi.. I have gone through your requirements .I have very good experience in VHDL/Verilog.I can do this project perfectly. I'll provide you a high quality work.. looking forward to hearing from you.. THANKS
₹1 750 INR në 2 ditë
0,0 (0 përshtypje)
0,0
0,0
Avatari i Përdoruesit
Hello, I am a FPGA engineer with good experience in Verilog programming. I already have done this type of image processing work on FPGAs. here is some of the top level code. EdgeDetect uEdgeDetect ( .iClk(psync_cap), .iRstn(rst_nn),// .iDataValidIn(DataValid_HotTrack), .iDataIn(DataOut_HotTrack), .iDataStart(DataStart_HotTrack), .iFuncEn(EdgeDetect_En), .iHighlightEn(HighlightEn), .iThreshhold(Para[12:0]),//13'd400 .oDataValid(DataValid_ED), .oDataStart(DataStart_ED), .oDataOut(DataOut_ED), .oMatrixX(MatrixX), .oMatrixY(MatrixY), .oMatrix(Matrix) ); NonMaxSuppression uNMS ( .iClk(psync_cap), .iRstn(rst_nn), .iDataValidIn(DataValid_ED), .iDataIn(DataOut_ED), .iMatrix(Matrix), .iMatrixX(MatrixX), .iMatrixY(MatrixY), .iDataStart(DataStart_ED), .iFuncEn(EdgeDetect_En),// .iThresh(Para[27:24]), .oDataValid(DataValid_NMS), .oDataStart(DataStart_NMS), .oDataOut(DataOut_NMS) ); EdgeCompletion uEdgeCompletion ( .iClk(psync_cap), .iRstn(rst_nn), .iDataValidIn(DataValid_NMS), .iDataIn(DataOut_NMS), .iDataStart(DataStart_NMS), .iFuncEn(EdgeDetect_En), .iThresh(Para[23:20]), .oDataValid(DataValid_EC), .oDataStart(DataStart_EC), .oDataOut(DataOut_EC) ); Looking forward to hearing back from you.
₹1 250 INR në 7 ditë
0,0 (0 përshtypje)
0,0
0,0
Avatari i Përdoruesit
I am a RTL design engineer, work on FPGAs on applications of Image/Video/Speech Processing, Network on Chip, Cryptography, Steganography, etc. using Verilog/VHDL, even on System Generator & Chipscope Relevant Skills and Experience I have both the Sobel & Canny Edge Detection algorithms ready with results. If you give an opportunity, I can show you and we can finalize the project as early as possible.
₹2 000 INR në 1 ditë
0,0 (0 përshtypje)
0,0
0,0

Rreth klientit

Flamuri i INDIA
Mumbai, India
0,0
0
Anëtar që nga shk 22, 2020

Verifikimi i klientit

Faleminderit! Ne ju kemi dërguar me email një lidhje për të kërkuar kredinë tuaj falas.
Ndodhi një gabim gjatë dërgimit të email-it tuaj. Ju lutemi provoni përsëri.
Përdorues të regjistruar Punë të postuara
Freelancer ® is a registered Trademark of Freelancer Technology Pty Limited (ACN 142 189 759)
Copyright © 2024 Freelancer Technology Pty Limited (ACN 142 189 759)
Po ngarkohet shikimi paraprak
Leja u dha për Geolocation.
Seanca e hyrjes ka skaduar dhe ke dalë. Hyr sërish.